Simulation im VSIM



Failed to access library 'work' at "work"

Fehler
Beim Starten der Simulation mit Modelsim erscheint die folgende Ausgabe
# ** Warning: (vlib-34) Library already exists at "./vsim/work".
# Model Technology ModelSim SE vlog 6.5c Compiler 2009.08 Aug 27 2009
# ** Error: (vlog-19) Failed to access library 'work' at "work".
# No such file or directory. (errno = ENOENT)
# ** Fatal: (vlog-7004) No work directory defined. (work=work)


Ursache
Die Umgebungsvariable MODELSIM ist gesetzt und zeigt auf ein Verzeichnis, welches eine modelsim.ini beinhaltet. Dies verhindert, dass die modelsim.ini im aktuellen Verzeichnis wirksam wird.

Lösung
Die Umgebungsvariable MODELSIM muss gelöscht werden. Für den schnellen Test kann dies mit
unset env(MODELSIM)

direkt in der Konsole von Modelsim erledigt werden. Zur dauerhaften Behebung des Problems muss die .bashrc (oder entsprechend eine andere Datei) angepasst werden.

SpartanMC